Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is it possible to make an active timestamp with the $display system task like in ModelSim?

Status
Not open for further replies.

flammmable

Newbie
Joined
Sep 14, 2022
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
12
There is a usefull feature in ModelSim/QuestaSim: if we will write on SystemVerilog something like this:
Code:
$display("Time: %0d ns", 123);
...the transcript console will shows next string:
Code:
# Time: 123 ns
And then if we will click on this string the cursor in the waveform viewer will jump to 123 ns.

Is there any similar feature in Xilinx Vivado simulator or in Aldec Active-HDL simulator?
 

With "Xilinx Vivado simulator"? :p
:D

Do not even dare to dream about it!

I do not use Aldec Active-HDL.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top