engr_joni_ee
Advanced Member level 3
Hi,
I have very basic question regarding VHDL libraries. I just want to add two 32 bit unsigned numbers. I need some help regarding which library I really need to include in the VHDL code
The libraries are here
and the signal in which I need to add a constant 32 bit unsigned number is here
I have very basic question regarding VHDL libraries. I just want to add two 32 bit unsigned numbers. I need some help regarding which library I really need to include in the VHDL code
The libraries are here
Code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
and the signal in which I need to add a constant 32 bit unsigned number is here
Code:
tdata_out <= tdata_in + x"0A0A0A0A";