Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Implement "Reset" pin for FPGA

Status
Not open for further replies.

djnik1362

Full Member level 2
Joined
Aug 9, 2010
Messages
136
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,298
Location
IRAN
Activity points
2,374
hi

i want to produce a system on Spartan-II FPGA that have an input reset.
this input allow to reset all building blocks in FPGA.

for example if i have 100 internal module this input must be wire-up to all of them.

So my question is :

Is there any special input for this or i can use regular I/O of FPGA to do this.

Thanks.
 

u can use regular IO. Just you have to read it in all your module while doing programming.
 

Just remember how your reset is sent. Make sure you code the reset to be the same polarity as the button (pullup or pulldown). Also, it is good practice to have a synchronous reset rather than an asynchronous reset.
 

normally the tool checks the fanout of the different net in the design, and promote the highest of them on global net to have a better skew/transition. I believe you could force a net to used a global net.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top