Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

I cant execute IC5141 why

Status
Not open for further replies.

chmhero

Member level 1
Joined
Jul 2, 2004
Messages
34
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
263
after I installation Candence IC5141 on unix(solaris)
i enter source .cshrc
then enter icfb command ,
a fatal message as folows
"cds_root .....NO such file or directory"
my ENV file as below:

CDS_INST_DIR=/edatools/cadence5141



set path=($path $CDS_INST_DIR/tools/bin $CDS_INST_DIR/tools/dfII/bin)
set path=($path $CDS_INST_DIR/tools/dracula/bin)
set path=($path $CDS_INST_DIR/share/license)

thanks!
 

are you make a link "ln -s tools.sun4v tools"?
 

yes ,I have done it .
 

this is part .rc file of mine.
--
setenv CDS_INST_DIR .../cds
setenv LD_LIBRARY_PATH ...
set path = ($path \
$CDS_INST_DIR/LDV50/tools/bin \
$CDS_INST_DIR/LDV50/tools/dfII/bin \
$CDS_INST_DIR/IC50/tools/bin \
$CDS_INST_DIR/IC50/tools/dfII/bin \
$CDS_INST_DIR/IC50/tools/dracula/bin \
)
setenv CDS_LIC_FILE 5280@...
 

edit your profile file in a text editor and write these two lines

PATH=$PATH :/path to executable of cadence in bin folder
export PATH
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top