Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to use fpga to implement dualport ram with sram ?

Status
Not open for further replies.

lzh08

Member level 2
Joined
May 28, 2004
Messages
45
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
565
how to use fpga to implement dualport ram with sram ?
 

lzh08 said:
how to use fpga to implement dualport ram with sram ?

Hi lzh08,

it should depend on the fpga chip you are going to use.
I once used virtex II pro, and sth like that i have used:
+ using unisim library from Xilinx
-- synthesis translate_off
library unisim;
use unisim.all;
-- synthesis translate_on
+ Depend on the size of RAM required, here i used RAMB16_S36_S36 (512 x 32 cells)

component RAMB16_S36_S36

port(
DOA : out std_logic_vector(31 downto 0);
DOB : out std_logic_vector(31 downto 0);
DOPA : out std_logic_vector(3 downto 0);
DOPB : out std_logic_vector(3 downto 0);
ADDRA : in std_logic_vector(8 downto 0);
ADDRB : in std_logic_vector(8 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector(31 downto 0);
DIB : in std_logic_vector(31 downto 0);
DIPA : in std_logic_vector(3 downto 0);
DIPB : in std_logic_vector(3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
and apply appropriate signals to it.
For more information, you should have a look at the user guide of the FPGA chip.
Hope that helps.
 
  • Like
Reactions: lzh08

    lzh08

    Points: 2
    Helpful Answer Positive Rating
in ISE, u can find the template
 
  • Like
Reactions: lzh08

    lzh08

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top