Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to use "compile" when have same design

Status
Not open for further replies.

tavidu

Member level 1
Joined
Oct 21, 2004
Messages
39
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
330
how to use "compile" when a design under a module is instaniated twice?

For example:
module TOP()

D_SUB U_0();
D_SUB U_1();

endmodule

when I compile TOP, DC Error infromation comes out.
I don't want use "uniquify" to cheange D_SUB into 2 different design name.
Thanks
 

Impossbile Consquence!

However try the following two steps instead of one:
<1> read -format verilog "source_name"
<2> elaborate module_name


vs.

read_verilog source_name!


Maybe helpful!


Thomson
 

In fact, you can achieve by the following steps:
===============================
step 1) compile/synthesize the design/module D_SUB first, and save the netlist to D_SUB_mapped.v

step 2) read in the TOP design which using D_SUB_mapped.v instead of D_SUB_rtl.v

step 3) compile TOP with don't touch on the instance U_0 and U_1.
---------------------------------------------------------------------------------------------
 

current_design D_SUB
compile
set_dont_touch .....
current_design TOP
compile ....

sth. like that.
 

tavidu said:
how to use "compile" when a design under a module is instaniated twice?

For example:
module TOP()

D_SUB U_0();
D_SUB U_1();

endmodule

when I compile TOP, DC Error infromation comes out.
I don't want use "uniquify" to cheange D_SUB into 2 different design name.
Thanks

I think your problem can be solved if you place DC in simple mode, where you can synthesize non-uniquified designs.

Hope this helps! :wink:
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top