Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to set initial condition for a net in the netlist

Status
Not open for further replies.

circuitking

Full Member level 5
Joined
Jan 8, 2018
Messages
291
Helped
1
Reputation
2
Reaction score
1
Trophy points
18
Activity points
2,503
Hi, when I have done the simulations with schematic.
I can set initial conditions using ADE->Simulation->Convergence Aids->Initial Condition.
Now, I replaced the schematic with its parasitic extracted net-list. So I can't access internal nets by descending into the schematic. How do I set initial condition for a net in this case?
 

Use deepprobe to access internal net or attach netlist (in simulation files) with ic statement
Hi, this is my net (net020<7>). This is the hierarchy in my schematic before extracting parasitics, I48.IR<1>.IM<7>.IC24<3>_net020<7>. Block IC24<3> is inside the IM<7> block.

But I got errors because of . and <, when I used I48.IR<1>.IM<7>.IC24<3>_net020<7> expression for the net. Is this the correct way of writing it?. I did it in both ways using deepprobe and attaching a .scs file for initial conditions
 

Extraction removes hierarchy. You have to check how the net is called in the extracted netlist and use this name.
Moreover, remember to use "\" before brackets and any other special signs.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top