billylee
Junior Member level 1
hi everyone~
I need your help.
I'm a newbie in the dc_shell, but I have question about set_input_delay.
rffe_slave or any other interface need to be initialized.
they said "please use SSC" signals that is data line.
rffe has only 2-wire.
generally SDATA generated from SCLK clock,
so I did write dc_script like this,
create_clock -name "sclk" -p 20 [get_ports sclk]
set_input_delay 1 -clock sclk [all_inputs]
set_output_delay 1 -clock sclk [all_outputs]
In this case,
Q1. How can I initialize RFFE protocol?
Q2. is it possible to sample SCLK with SDATA for initializing?
I'm trying to sample SCLK=1 when SDATA rising edge.
And how to make setup/hold time for this?
Thanks.