Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to initialize mipi rffe slave? set_input_delay

Status
Not open for further replies.

billylee

Junior Member level 1
Joined
Sep 10, 2009
Messages
16
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Korea
Activity points
1,390
timing diagram.jpg

hi everyone~
I need your help.

I'm a newbie in the dc_shell, but I have question about set_input_delay.

rffe_slave or any other interface need to be initialized.
they said "please use SSC" signals that is data line.

rffe has only 2-wire.

generally SDATA generated from SCLK clock,
so I did write dc_script like this,

create_clock -name "sclk" -p 20 [get_ports sclk]
set_input_delay 1 -clock sclk [all_inputs]
set_output_delay 1 -clock sclk [all_outputs]

In this case,
Q1. How can I initialize RFFE protocol?
Q2. is it possible to sample SCLK with SDATA for initializing?
I'm trying to sample SCLK=1 when SDATA rising edge.
And how to make setup/hold time for this?


Thanks.
 

Hi everyone

I'll use different Input Pad for SDATA and SCLK.
I think SCLK can be sampled with SDATA. because they have different delay time.
If you have any good idea, please let me know.

Thanks
billy
 

Hi,

For this interface, I assume that SCLK is a clock port, SDATA is a data port.
It seems that you had made some thing OK with the constraint:

"create_clock -name "sclk" -p 20 [get_ports sclk]
set_input_delay 1 -clock sclk [all_inputs]
set_output_delay 1 -clock sclk [all_outputs]"

Amount of input delay value can be around 50% of clock period and actually depended on the source FF that generate SDATA, and the connection before arriving to your module.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top