Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to implement Divisio Function on Xilinix V4 ?

Status
Not open for further replies.

omara007

Advanced Member level 4
Joined
Jan 6, 2003
Messages
1,237
Helped
50
Reputation
102
Reaction score
16
Trophy points
1,318
Location
Cairo/Egypt
Activity points
9,716
Hi folks

I am trying to implement a division function on Xilinx V4. What I know is that only multipliers are built in. In other words, it's unlike ASIC in which I can pull a DW component for the multiplier.

Does anyone have a work around designing dividers in FPGAs without having to actually code up a divider by hand ?
 

There is an IP core available in Xilins ISE Core Generator with the name of "Pipline Divider" or "Divider generator" in MATH Function category.

However disadvantage is that code is not visible.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top