Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to do IO signal delay in FPGA

Status
Not open for further replies.

vivizzz

Newbie level 3
Joined
Apr 28, 2010
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,302
hey guys,

Im new to FPGA. :D got some problems about the output delay. I got two output signals, A and B. I want B is a little bit delay than A, like 1 us. I write the delay code in verilog code, the behavioral simulation result is good. But when I download the code to the FPGA borad and using the scope detecting the signals, there is no delay. Is anything I can do to get the output signal delay?? Thanks

:D

vivi
 

Re: IO delay in FPGA

for that scale you almost certainly have to move to oversampled outputs. Eg, if an interface sends serial data with a clock, at a rate of 1Mbps, you could provide the module with an 8MHz clock. the output of the module would change only once per 8 cycles. now a variable number of registers can be inserted between the module, and the IO. The number of registers between the modules "serial clock output" and the FPGA's IO pad is intentionally made different than the number between "serial data output" and the FPGA IO pad.
 

Re: IO delay in FPGA

hey permute:

thanks for the reply. Im actually working on generating the PWM signal, require for the adjustable frequency and dutycycle. The tiny delay between two output should be adjustable too. but.... i didn't even get the delay! :(

thanks again.
 

Re: IO delay in FPGA

Delays are not synthesizeable.

r.b.
 

Re: IO delay in FPGA

rightly said, delays are not synthesizeable........... U have to pick some clock cycles to produce delays!
 

i work on vhdl in that language delay not synthesizeable.

for your work with known main signal frequency. you can count the main clock to give 1us then release THE OUTPUT.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top