Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how can i access signals from differents entities within my code ? ( in VHDL)

Status
Not open for further replies.

papetorh

Newbie level 5
Joined
Aug 8, 2013
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
114
how can i access signals from differents entities within my code ? ( in VHDL)
Thanks
 

Read about the external names syntax introduced in VHDL 2008.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top