Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

help regarding this diagram

Status
Not open for further replies.

santumevce1412

Junior Member level 2
Joined
Jan 8, 2008
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,445
design the circuit that produces the attached output.

whenever an i/p is detected at an edge of a clock, the o/p is to be one for that clock cycle and after that o/p is to be zero for any i/p in the remaining cycles.

pls find the diagram attached.
 

use FSM
u ll be able to do it easily
 

----------------
assign int=input|output

always @(posedge clk)
output<=int
---------------------

syntax is not accurate... but logic should be correct.

assuming that output is initialized to '0'

Added after 6 minutes:

sorry... earlier solution is wrong... the following should work... assumes all flops initialized to zero .

--------------------------
assign int_a=input|int_b;

always @(posedge clk)
begin
int_b<=int_a;
int_c<=int_b;
end

assign output=(~int_c)&int_b;
---------------------
 
the above verilog code is working thank u for u r help
i have asked one more question pls check u r inbox
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top