Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Query regarding a verilog statement

Status
Not open for further replies.

mjuneja

Advanced Member level 4
Joined
Aug 28, 2016
Messages
105
Helped
12
Reputation
24
Reaction score
10
Trophy points
18
Location
Bangalore, India
Activity points
674
What does this statement means ??


Code Verilog - [expand]
1
assign a = ((1'b0) != b);

 

Assigning a test for b variable bit 0 != 1 .....?

From manual an example of typing -

1657274341849.png



Regards, Dana.
 

assign a = ((1'b0) != b);

What does this statement means ??
I'd be more inclined to say this means the person who wrote the code doesn't know what they are doing.
Or they were lazy and did a global replace of a signal with (1'b0), instead of just assigning the signal with 1'b0 and letting synthesis deal with the logic optimization.
 

this might be automatically generated code. that would explain why such simple logic became a not so readable statement.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top