Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

HELP:Importing an IP Core in my Design and Simulating it.

Status
Not open for further replies.

ryan023

Newbie level 2
Joined
Oct 11, 2010
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,297
Hi!

I generated an Floating Point adder/subtracter using Core Generator and imprted the vhdl file in my project. The vhdl file imported was succesfully synthesised by it wasnt implementable. Why is this? and to simulate this in ModelSIM i have to import some new libraries? Help

THANKS!

Xilinix ISE - 12.3
ModelSim Altera 6.5b

Ryan Grixti
 

succesfully synthesised by it wasnt implementable
I don't get the meaning of the words. How can it be successfully synthesized without implementing the core?
 
When I imported the VHDL file to my new project i tried to synthesis it with xilinix 12.3 and it did with a few warnings. Then i tried to implement the design and it stopped in the mapping. With the following errors:


ERROR:Map:116 - The design is empty. No processing will be done.
ERROR:Map:52 - Problem encountered processing RPMs.

Please do view the attached image.

Thanks for helping FvM. :)
 

Attachments

  • IP_Core_Problem.JPG
    IP_Core_Problem.JPG
    239 KB · Views: 154

I believe the VHDL file shown in your attachment is nothing but a wrapper holding the values to create your core it has no logic inside(no one or more processes are running). Please attach the VHDL file itself of the core.

I would suggest to write another VHDL file in which you use your core as an a component to which you pass some values and do whatever the core does on these values and then read them back again...I believe this way it would be implemented.

Eshbon!
 

I don't see a problem in using a component, e.g. an IP core as top entity in a design and synthesize it. I often did this for test purposes. But I'm not familiar with the Xilinx tool chain and don't know about the popular mistakes when using it. As a general requirement common to all tools, the exact entity name exposed by the IP core must be known to the compiler.
 
  • Like
Reactions: blooz

    blooz

    Points: 2
    Helpful Answer Positive Rating
I think you have NOT added the IP core component and port mapped into your design. You need to do that by viewing HDL Instantiation Template under Implementation.

For simulation using ModelSim you need to Compile HDL Simulation Libraries (Design Utilities) under Behavioral Simulation and in "properties" of "Compile HDL Simulation Libraries" you need to add the path where your Xilinx ISE is located.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top