Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

doubts in vhdl coding

Status
Not open for further replies.

M.Shobana

Member level 1
Joined
Sep 13, 2011
Messages
35
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,505
hi.......
case state is
when s1=>
if(x(1)==0) then
nextstate<=s2;
q1<="001";
else
nextstate<=sin;
q1<={q0,"000"};
end if;
in this program we may have the size of q as either 3 0r 6 bits. finally we have to concatenate all the 15 values of q1,q2,.......q15 in z; then how we can initiallize the size of q and z?

if anybody knws solution for the above pblm means plz reply me quickly
 

I have a problem with interpreting your question ...
What 'q', 'z'. A signal with different sizes?

But, you probably are looking for the something that concatenates all the (remaining) bits of a vector. Use 'others' for this.

z <= (others => '0');
z <= (others => '0', q);

Also, see
**broken link removed**
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top