Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Declaration of signals in package

Status
Not open for further replies.

kakarala

Member level 1
Joined
Jun 22, 2010
Messages
40
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
1,801
hi
can i declare a signal in a package and assign a value to that signal in some other entity design?
 

That feature is not synthesizable currently. You can declare a signal in a package, but the tools will not implement any assignments to the signal. Essentially, the signal can only be used as a constant.
 

why do you want to this?
a signal represents a net or a port then. it is unique.
 

The most common reason to want this is for debug. For example, to get /u_top/u_wrapper/u_module/g_option/u_inst/g_option_a/my_signal to connect to an LED or other interface. Its very annoying to thread a signal through a deep hierarchy, and it tends to make the code cluttered as simple modules start getting normally unused debug ports.

VHDL-2008 does support addressing a signal in another level of the hierarchy, but in this case Xilinx luckily doesn't implement VHDL-2008.


Chipscope allows an alternative to this, but has its own restrictions. Notably that it isn't in webpack.
 

Or in Quartus's case, just refuse to deal with the signal full stop.

From kakarala's post here, and previous posts, Im guessing he's trying to do something he shouldnt be doing, like by-passing port maps because he didnt learn digital electronics yet.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top