Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Creating a .tcl script for specific hardware design in IP integrator

Status
Not open for further replies.

arminb73

Junior Member level 3
Joined
Nov 9, 2021
Messages
26
Helped
0
Reputation
0
Reaction score
1
Trophy points
3
Activity points
185
Hello,

I'm interested in learning how to write my own .tcl script in Vivado for a certain hardware design.
Many examples I've seen online simply provide a .tcl script that allows the user to "source" this file and have everything done for them.
 

Hello,

I'm interested in learning how to write my own .tcl script in Vivado for a certain hardware design.
Many examples I've seen online simply provide a .tcl script that allows the user to "source" this file and have everything done for them.
Hello,

when you are building your "Block Design" all command from GUI are change to TCL command (maybe best word be mapped) and have been written to TCL console (of Vivado). So if you save all the TCL console outputs to file, you be able to make a copy of "Block Design" just running this TCL script (from saved file). For example you can make new Vivado project and then in TCL console run script from (earlier saved) file, and identical "Block design" will be created.

Best Regards
 

Hi,

Is this to say that in order to get the TCL script, I'll have to copy and paste each update from the TCL console into a notepad? Is there no way for Vivado to save TCL commands automatically?

Best regards,
Armin
 

Hi,

Is this to say that in order to get the TCL script, I'll have to copy and paste each update from the TCL console into a notepad? Is there no way for Vivado to save TCL commands automatically?

Best regards,
Armin
Hello,

I am doing it in that way (there is command Copy) in TCL console. Probably there is an option to save the TCL console automatically to file, but I never use it, you have to investigate this on your own.
Maybe these links would be helpful:

https://www.xilinx.com/support/documentation/sw_manuals/xilinx2018_3/ug894-vivado-tcl-scripting.pdf

https://www.xilinx.com/support/documentation/sw_manuals/xilinx2019_2/ug835-vivado-tcl-commands.pdf

Best Regards
 

I'm interested in learning how to write my own .tcl script in Vivado for a certain hardware design.
Vivado helps to generate/write out the TCL scripts. I think initially you should take advantage of that feature and build up your knowledge. Later you can try building your own.
Foe specifics you seek for help from the Vivado TCL Community inside the Xilinx Forum.
 
There is an export command to output a Tcl file that can recreate the block design.

The only issue we've had using this feature is the Tcl file will likely not work properly with other new/older versions of Vivado. Xilinx keeps tweaking the block design flow from version to version. We could get around this by opening the sourcing the Tcl file in the old Vivado version, saving the project, closing Vivado, opening the project in the new Vivado version and exporting a new Tcl file.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top