Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

convert matlab code to vhdl code

Status
Not open for further replies.
Nope, unless you've written the matlab code thinking about the FPGA design from the beginning. It can be very easy to write simple matlab that take hundreds of lines of VHDL to reproduce (for loops, for example). If you dont understand the logic in the first place, you're going to have a big job on your hands.

OK..

let me start again from the begining..
and plzzz help me to simplify it as much as possible... as i've nooo time
and correct me if i'm wrong...

soo noooo synthesis... only simulate..

i know little bit about image/video processing & i know logic design & vhdl..

but as i told you befor

...............

i don't know how to read video using vhdl
i don't know how to extract info about its frame using vhdl
i don't know how to deal with memory (write or read from memo) using vhdl...
:((((

any idea how can i do this plzzzzzzzz


guide me with any thing... any helping tutorial or any examples as my search reaches nothing....

thanks...
 

If all you need is a direct conversion of you code, that would be very quick. But it wont be synthesisable at all.
 

I really dont know what you want from us.
You've basically said you have no time left. So why are you wasting time on here? it's clear that any VHDL you write is going to be useless, as you dont want it synthesisable. All you need to do is read a VHDL tutorial and then convert your matlab to VHDL directly. VHDL can do a lot of things like any languge. But if you write it in behavioral style as a line for line re-write of your matlab, you'll have to re-write it again to get it on an FPGA.

We have no idea what you're doing, what your argorithms are or what your code looks like. How long have you got?

You cannot expect us to do your work. So either give us more detail, or get working...
 
  • Like
Reactions: vivo_m

    vivo_m

    Points: 2
    Helpful Answer Positive Rating
Try the maltlab toolbox

Oooooh maltlab! Does that take a BDL (*) description and then synthesize a new single malt? If so, I gotta get me some of that!

*) Beverage Description Language
 

Oooooh maltlab! Does that take a BDL (*) description and then synthesize a new single malt? If so, I gotta get me some of that!

*) Beverage Description Language

Matlab !!
In the end, I corrected it.
Sorry for this mistake.
Thanks.
 

Oh don't apologize. That typo served as a perfectly good excuse to muse a few minutes about a description language that lets you define your favorite whiskey!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top