Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Cadence RTL compiler error

Status
Not open for further replies.

JineshKB

Junior Member level 1
Joined
Dec 7, 2014
Messages
16
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
132
sir am getting this message when I gave my TCL script.After this tool is not responding.My other designs are getting synthesised only problem with this sad_str.vhd.For this design am getting correct output in NCSIM (also the design was synthesisable in xilinx ISE)

Setting attribute of root '/': 'library' = tutorial.lib
Elaborating top-level block 'SAD_str' from file 'sad_str.vhd'.
Done elaborating 'SAD_str'.
Warning : This attribute will be obsolete in a next major release. [TUI-32]
: attribute: 'auto_ungroup_ok', object type: 'subdesign'
: Kindly use the new attribute 'ungroup_ok' which works across the flow.
Trying carrysave optimization (configuration 1 of 1) on module 'SAD_str_csa_cluster'...
Info : Done carrysave optimization. [RTLOPT-20]
: There are 2 CSA groups in module 'SAD_str_csa_cluster'... Accepted.
Mapping SAD_str to gates.
 

It is a warning and not an error message. You can either ignore it or use the ungroup_ok command instead of the auto_ungroup_ok command.
 

It is a warning and not an error message. You can either ignore it or use the ungroup_ok command instead of the auto_ungroup_ok command.

Sir,but the tool is not responding after showing this message.its showing Mapping SAD_str to gates. after that nothing happens.
 

I think you need to wait for some time. From the last message it looks like it is in the middle of optimization. This usually takes some time.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top