Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

anybody offer me some material about vco design?

Status
Not open for further replies.

ithink

Junior Member level 2
Joined
Jul 1, 2005
Messages
23
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,468
i must design vco operating under 100MHz, but i never do it ,so i hope anybody offer some circuit to me.
thanks!
 

Check the paper that is attached. It describes frequency synthesizer and you can see how the vco is designed.

**********************************************************************
TITLE: A low jitter 0.3-165 MHz CMOS PLL frequency synthesizer for 3 V/5 V operation

ISSUE: IEEE Journal of Solid-State Circuits, vol. 32, pp. 582 - 586, April 1997

AUTHORS: Howard C. Yang, Lance K. Lee, and Ramon S. Co

**********************************************************************
ABSTRACT:

This paper describes a phase-locked loop (PLL)- based frequency synthesizer. The voltage-controlled oscillator (VCO) utilizing a ring of single-ended current-steering amplifiers (CSA) provides low noise, wide operating frequencies, and opera- tion over a wide range of power supply voltage. A programmable charge pump circuit automatically configures the loop gain and optimizes it over the whole frequency range. The measured PLL frequency ranges are 0.3-165 MHz and 0.3-100 MHz at 5 V and 3 V supplies, respectively (the VCO frequency is twice PLL output). The peak-to-peak jitter is 81 ps (13 ps rms) at 100 MHz. The chip is fabricated with a standard 0.8-µm n-well CMOS process.
********************************************************************
Good luck!
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top