EDA5678
Newbie level 3
I have a question???
Hi,
Would you please help me resolve the following question.I will appreciate you.
Thank you.
For the following VHDL, what will synthesis produce? Draw the resulting gate-level representation or logic equation representation.
library ieee;
use ieee. std_logic_1164.all;
entity function_ex is
port ( a1, a2, b1, b2 : in std_ logic;
en : in std_logic;
y1 : out std_ logic);
end entity;
architecture rtl of function_ex is
function xor_ fn (f1, f2 : std_ logic) return std_ logic is
variable r : std_ logic;
begin
r := f1 xor f2;
return r;
end function xor_fn ;
begin
xnor_ proc: process (b1, b2) is
begin
if (en = ‘1’) then
y1 <= xor_fn( a1,a2)
else
y1 <= xor_fn( b1,b2)
end if;
end process;
end architecture rtl;
Hi,
Would you please help me resolve the following question.I will appreciate you.
Thank you.
For the following VHDL, what will synthesis produce? Draw the resulting gate-level representation or logic equation representation.
library ieee;
use ieee. std_logic_1164.all;
entity function_ex is
port ( a1, a2, b1, b2 : in std_ logic;
en : in std_logic;
y1 : out std_ logic);
end entity;
architecture rtl of function_ex is
function xor_ fn (f1, f2 : std_ logic) return std_ logic is
variable r : std_ logic;
begin
r := f1 xor f2;
return r;
end function xor_fn ;
begin
xnor_ proc: process (b1, b2) is
begin
if (en = ‘1’) then
y1 <= xor_fn( a1,a2)
else
y1 <= xor_fn( b1,b2)
end if;
end process;
end architecture rtl;