Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Pulse generator using 50MHz clock

Status
Not open for further replies.

bharathkb

Newbie level 3
Joined
Mar 2, 2014
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
76
Hi,
I am trying to implement a pulse generator that generates 6 pulses. us:micro seconds
Specifications: clock:50MHz
pulse width:10us and 15us
Pulse repetition time:150us

The requirement is that the first 3 pulses should be of pulse width 10us and the next 3 pulses should be of 15us.
Please suggest a suitable vhdl code.
 

Bharath,
Here is sample **broken link removed**.

In the above code it will generate ON/OFF pulse every 1 sec.

50MHz produce 20ns timing. To convert 20ns to 1sec, 50000000 counter is generated.

for your case, 10us/20ns= 500 counter , 15us/20ns = 750 counter.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top