Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Can VHDL codes combine with block diagrams for one design in quartus 2?

Status
Not open for further replies.

Swan Yi

Newbie level 2
Joined
Feb 3, 2014
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
10
I am just a beginner in vhdl language. I am using quartus 2 (version 7.2). I designed a vhdl code and block diagram with separate projects for one design. But I can't combine codes and blocks. Is it possible to combine them into one design?
 

Yes. You can have a block diagram as design top using subblocks written in VHDL and also use schematic entry for components in instantiated in VHDL.

The former method (schematic top design) is probably used more often. Look at the menu functions under File\Create/Update for creating a symbol file for a VHDL design that can be imported in the schematic entry.
 

I will try it. Thanks you.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top