Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

vhdl code for serial communication

Status
Not open for further replies.

girishmahamuni

Newbie level 1
Joined
Jun 15, 2013
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
6
Hi
I am new user of this site & I want vhdl cod for serial communication,so plz send me that.
 

Would you like a cup of coffee to go with it...?

Please be more specific, what are you looking for?

Uart, SPI, IIC and so on?

Basically you need to take parallel data and serialize it, not a hard thing to do using shift registers for example.

Assaf.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top