Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

vhdl code for serial peripheral interface

Status
Not open for further replies.

sukanya123

Newbie level 1
Joined
May 23, 2012
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
hi
am working with spartan 6 fpga.i need to write the vhdl code for spi interface.in my project microcontroller is master and fpga is slave .i need to trasfer the data(24 bit) from master to slave.i am not understanding how to start.please help me out.
 

It is not that hard to write a SPI slave module. If you take a look at the timing diagram, you will clearly see it.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top