Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

int multiplication in vhdl

Status
Not open for further replies.

ZeleC

Full Member level 5
Joined
Dec 18, 2002
Messages
261
Helped
3
Reputation
6
Reaction score
1
Trophy points
1,298
Activity points
2,173
anyone got integer multiplicartion examples in vhdl such as multiplying 16bit by 8bit or any helpful link
thank you guys .
 

To do with integers which substitute bit vectors
the signals must have constraints like this:
signal A,B: integer range -128 to 127;
then the 8x8 signed-signed multiplier looks like this:
P<=A*B;
In such a way one can program signed-unsigned, unsigned-unsigned multipliers as well.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top