Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

bidirectional bus problem in sdram

Status
Not open for further replies.

chinnathurai

Junior Member level 1
Joined
Jul 20, 2010
Messages
19
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
chennai
Activity points
1,376
Hi friends i have write the vhdl code for sdram in that i have write the array of data in the data bus during each clock bus but when i read the data from sdram i get the last byte of the array during the all the read cycles.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top