Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

rectangular signal in VHDL

Status
Not open for further replies.

dpt30

Newbie level 4
Joined
Apr 28, 2010
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,323
Hi,
I´m trying to send a rectangular signal from DAC converter wich is connected to FPGA card. but i´m new in this type of design language.

so, i must create a function in VHDL of the rectangular signal in order to connect it to the pins of dac converter.

so i need some help. I´m interested in the vhdl code if it would be possible. Thank you very much.
 

hello

first... are you trying to send from FPGA to DAC?, because in your post I can't see what are you trying to do.

you should create a vhdl SPI bus controller to send the data to the DAC, search for similar topics in this forum and you will find help.

in that program you have to send to values to the DAC, the max and the min.

You should have care of the DAC's frequency response, because your rect pulse will not look like a perfect rect pulse.
 

Nothing has been said about the DAC interface. It may be parallel as well.

If you are e.g. using a FPGA DevKit, you may want to mention the product name.
 

Is it like you need rectangular signal as output of DAC ?
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top