Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 library ieee; use numeric_std.all; entity square is port ( x : in unsigned( 7 downto 0); x_squared : out unsigned(15 downto 0) ) end entity square; architecture rtl of square is begin x_squared <= x*x; end architecture rtl;
Post the code that you've written so far, including your testbench...then ask for help. Asking for help before you've expended even minimal effort, or explained why you are unable to expend such minimal effort, is showing that you're lazy.i want to create program that have expression -> (x divided ((y/100) squared))) {x and y = input}. this vhdl code will be implemented with FPGA-DE1 board. input consist binary number.. can you help me?
whats wrong with the VHDL expression:
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 entity test1 is port ( x : in integer range 0 to 1023; y : in integer range 0 to 1023; op : out integer range 0 to 1023 ); end entity; architecture rtl of test1 is begin op <= x/ ( (y/100) **2 ) ; end rtl;
i want to create program that have expression -> (x divided ((y/100) squared))) {x and y = input}. this vhdl code will be implemented with FPGA-DE1 board. input consist binary number.. can you help me?