Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

DCM parameters [Virtex2 pro]

Status
Not open for further replies.

BlackOps

Full Member level 5
Joined
Jan 1, 2005
Messages
279
Helped
14
Reputation
28
Reaction score
3
Trophy points
1,298
Location
AZERBAIJAN
Activity points
2,496
Hello, i need to derive 25MHz from 100MHz and i also will need another 25MHz clock shifted by 180 deg. if i am correct i can use one DCM for these purposes, right?

please check my DCM parameters... do you think it is OK? it was created using architecture wizard from ISE 9.1i, and then modified a little.

Code:
	   DCM1 : DCM
   generic map( CLK_FEEDBACK => "1X",
            CLKDV_DIVIDE => 4.0,
            CLKFX_DIVIDE => 8,
            CLKFX_MULTIPLY => 2,
            CLKIN_DIVIDE_BY_2 => FALSE,
            CLKIN_PERIOD => 10.000,
            CLKOUT_PHASE_SHIFT => "NONE",
            DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
            DFS_FREQUENCY_MODE => "LOW",
            DLL_FREQUENCY_MODE => "LOW",
            DUTY_CYCLE_CORRECTION => TRUE,
            FACTORY_JF => x"C080",
            PHASE_SHIFT => 0,
            STARTUP_WAIT => FALSE)
				
      port map (CLKFB		=> clk_fb,
                CLKIN		=> SysClk,
                DSSEN		=> '0',
                PSCLK		=> '0',
                PSEN			=> '0',
                PSINCDEC	=> '0',
                RST			=> '0',
                CLKDV		=> clk_dv,
                CLKFX		=> open,
                CLKFX180	=> clkfx180_buf,
                CLK0			=> clk_out,
                CLK2X		=> open,
                CLK2X180	=> open,
                CLK90		=> open,
                CLK180		=> open,
                CLK270		=> open,
                LOCKED		=> open,
                PSDONE		=> open,
                STATUS		=> open);

clk_dv is 25MHz clock now.. but is the clkfx180_buf the same 25MHz clock shifted by 180 deg?

thanks

Added after 3 hours 4 minutes:

what you think.. is it better maybe to use Frequency Synthesizer for both purposes?

what if i will get CLKFX of 25MHz by division.. and then automatically will get CLKFX180 of 25MHz shifted by 180? without using the CLKDV.. is it better idea? (CLKFX and CLKFX180 can be used simultaneously according to Xilinx libraries guide)

and one question.. what is the difference between CLKFX generated 25MHz clock.. and the same clock generated by CLKDV??
 

Yes you can use the DCM to generate your clocks as long as you can live with the added jitter the DCMs tend to add to clock signals.

You could also use a clock divider if needed. This tends to be a much cleaner (less jittery) signal than the DCM generated ones.

E
 

nxtech, thanks for your reply, but i know that i can also use clock divider. but i want to implement it with D C M.

and i would like to know are my settings for DCM ok.. or is it better to get 25MHz with CLKFX.. and its oppisite with CLKFX180... ?

that is the question..
 

There is no answer that is the best and only answer.

Your settings look fine but the only way to know if they are going to be adequate for your design is to program a device and look at it via Chipscope.

E
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top