Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help me out with a VHDL code issue

Status
Not open for further replies.

mrdotcom1

Newbie level 5
Joined
Mar 2, 2008
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,331
Dear All,
I have got my own VHDL code and inside that when i am writting bd_a:=bd_b1(10); then its ok where bd_a value is 0.0 at this place and its a real variable. But if I write bd_a:=bd_a+bd_b1(10); where as bd_a is still 0.0 it gives me error on synthesis that real operands can not be in this context.
Kindly suggest me regarding this.

Thanks and regards

Amit
 

Re: help required

i didn't review your code but real values are not synthesizable.
 

Re: help required

Dear Sir,

Thanks for your reply. But 1st kindly go through the code and then let me know. because while I omit only two lines from this code the rest of the code can be synthesised and even with all other real values. And even I do have few more earlier code where I have already synthesised real values.

But anyways my requirement is to convert real(floating numbers) to binary and binary to real(floating numbers) as well.

So help me out of these please by some means..

Thanks and regards
 

Re: help required

Refer to Xilinx synthesis guide present in %\Xilinx\doc\usenglish\books\docs\xst\ look out for real type..It has given many times in this doc that real type is not supported.Because it does not have any physical correspondence
i just had a glimpse of your code ....(Not reviewed yet) I think the codes having real values you think was synthesized successfully was the cases in which the real values were used for calculation purpose only.
If you want to use the real values you should use some standard notation with fixed precision for the same.

regards..
 

Re: help required

Real numbers are not synthesisable .if it is very much neseccary to use real number you can go for ipcores
 

Re: help required

Dear Nandini thanks for the suggession. I am working on that now...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top