Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

what is clk signal in DLD

Status
Not open for further replies.
clock signal is an input given to the circuit so that all actions are synchronised with respect to this signal.

Usually the signal applied to this terminal is a symmetrical square wave.

Sequential circuits help to produce output based on previous outputs as well.That is history of the circuit can be taken into account.

Such ciruits are a mandatory for design of sequence detectors and similar applications..
 

Logic circuits can be classified into two broad categories: synchronous and asynchronous.

In synchronous circuits all signals change status syncronously with a reference signal called CLK
 

clk is the name used for clock signal in a synchronous digital circuit.

First of all, I may correct you that clock may/may not be used in sequential circuits.

Clock is a global signal that synchronises the overall operation of a digital system (called Synchronous Digital System, don't cofuse it with sequential circuit). This golbal synchronization makes the design of digital systems really easy because everything occurs at the rising (or falling) edge of the clock.

If it helped you, please click "Helped me" at the bottom.
Thanks
 

    V

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top