Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by yupina-chan

  1. Y

    ARM Cortex-M3 Microcontroller using STM32F103 project data transmission through sound

    hi. i have a project ARM Cortex-M3 Microcontroller using STM32F103 . i have a project which transmits data as sound. i would like to know how can i input my data into the board? what circuit should i use and what pin of the board should i connect its output? your help would greatly be...
  2. Y

    need help on fpga board memory

    hi, i am working on an altera de2 board. i intend to use saving in memory. can i use this code reg [10:0] box1 [191:0]; reg [10:0] box2 [191:0]; reg [10:0] box3 [191:0]; reg [10:0] box4 [191:0]; reg [10:0] box5 [191:0]; reg [10:0] box6 [191:0]; reg [10:0] box7 [191:0]; reg [10:0] box8 [191:0]...
  3. Y

    Generate Histogram of Image, Using FPGA and Verilog

    thanks TrickyDicky for the tip. i will look into it. and will try reusing the histogram. - - - Updated - - - i have another question. let's say i will have 6 histograms. in initializing it, i used: reg [7:0] hist0[0:255]; reg [7:0] hist1[0:255]; reg [7:0] hist2[0:255]; reg [7:0]...
  4. Y

    Generate Histogram of Image, Using FPGA and Verilog

    hi. i changed my code considering the pixels as streaming. i have no problem saving my pixels to corresponding boxes but i needed to take the histogram of each of the box as shown in the image. that's like 12*16=192 boxes. how should i implement this since my memory is limited, so i can't have...
  5. Y

    question on using for loop in verilog

    thanks pbernardi. now, i know i have been wrong all this time since I've always ignore warnings like this(infer ram) after compilation. i am new to fpga and don't get enough guidance from people in my univ. its like im working on own and a few people. a hardware mindset is what i really needed...
  6. Y

    question on using for loop in verilog

    hi. mrfibble, thank you for the information. i have seen projects that uses the for loop. what are the consequences of using for loop in my implementation? ok so, i researched on this on the net and decides to write an fsm for my for loop. my next question is, how can i use my fsm in my code...
  7. Y

    question on using for loop in verilog

    hi. i have a question on using for loop. for example, i have an 'always block' then i use for loop inside like this one: integer i; integer count = 0; reg [7:0] ram [255:0]; initial begin for (i=0; i<256; i+1) ram[i]=0; end always @(posedge clk)begin count <= count + 1; if(count...
  8. Y

    sum of absolute difference computation using verilog for fpga

    hi. i need to compute the sum of absolute differences of image histogram from bins 0 to 255. this will be used for my image comparison. i have coded my own and simulation worked right but my problem is that after compilation, the total logic elements shown is 70% already and this is only for a...
  9. Y

    Generate Histogram of Image, Using FPGA and Verilog

    how can i keep track of the pixel? well, i already started with my own. can you please look at my code? it saves the pixels to designated box as it enters but the histogram computation isn't working. i was able to save the pixels within the box but with the histogram part, i used a 2d array...
  10. Y

    Generate Histogram of Image, Using FPGA and Verilog

    hi. i want to take the histogram of each box on an image. supposing my image is like the one below, for simplicity, i have a 12x12 image. i want to take the histogram of boxA, boxB and so on. can you suggest on how to do this? i'm going to implement this in an fpga board using verilog
  11. Y

    camera interface for altera de2 and terasic d5m camera

    anyone here familiar with terasic d5m camera? i am using this development kit from altera to interface with my board. i have a problem understanding on how the pixels enter is written when pausing the camera since i only need the output image. i understand that the ccd capture module is...
  12. Y

    instantiating a module inside an always block

    thanks for the reply. in what module should i create the write enable? can you elaborate further please?
  13. Y

    instantiating a module inside an always block

    so how can i get over with this problem? like how can i save the entered values at a certain period of time? example: only the values entered at 20<count<40 is saved but the input is still streaming
  14. Y

    instantiating a module inside an always block

    hi. can i instantiate a module inside an always block? what i want to do is save values to a line buffer. i only want to execute my line buffer only at a certain period of time so inside my always block(at positive clock edge the values enter), i have a counter. whenever the counter reaches the...
  15. Y

    read bitmap from university program sdcard de2 nios

    thank you for the reply but i think ive read enough c tutorials now but i still cant get it right. my problems are: sd_fileh = alt_up_sd_card_fopen("pic.bmp", false); returns an int and the fopen cannot be used. i dont know how to translate this: filePtr = fopen(filename,"rb"); and...

Part and Inventory Search

Back
Top