Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by xstal

  1. X

    Code coverage using GCOV - advice please

    Code coverage using GCOV Hi Friends, I am trying to find the coverage data of a header file used in a tool. The header file is basically a systemc ".h" file and it has been integrated with the tool. I have written tons of testcases to test all the functionalities defined in the said header...
  2. X

    What is meant by 90nm.12um - a CMOS question

    Re: CMOS question? 130, 90, 60, 45 nm are technology nodes. It does of course mean the channel length of the transistor. But to say that, in a given technology, e.g. 90 nm, channel length varies from 70 to 100 nm from vendor to vendor and that is what makes one product better than the other in...
  3. X

    Tcl and Perl for backend VLSI design

    Re: tcl or perl so many people so many words......... for backend of course you need both Tcl and Perl. But for beginners, writing scripts for using tool is more important where Tcl is the best and all tools support Tcl. You can learn perl as you gain further experience and start doing...
  4. X

    Links to some books about TCL

    Re: TCL you can do google for some very good tcl tutorials for beginners. There is a book called "Tcl and the Tk Toolkit" by John K. Ousterhout. I find this book very good for tcl.
  5. X

    Verilog: Is it synthesizable???

    Thanks Frank, Thanks for your reply. Others please refer Verilog HDL LRM (8.6.6 Intra-Assignment Timing Controls) Frank, As you told that this is a synthesizable construct, can you please let me know which synthesis tool gives the intended netlist. Because I am not sure which tool can do...
  6. X

    Verilog: Is it synthesizable???

    synthesizable verilog construct Thanks frank for your promt reply. But my code does not have any syntex error. I deliberately put @(negedge clk) after begin to control the event. This is valid syntax and passes with ncverilog simulation. Your solution is a very common flop architecture. So my...
  7. X

    Verilog: Is it synthesizable???

    verilog non synthesizable constructs Is it synthesizable??? module DELAY1 (clk,in1,out1); input clk, in1; output out1; reg out1; reg temp; always begin @ (negedge clk) temp = in1; out1 = temp; end endmodule Thanks in advance.
  8. X

    Can I use "FOR LOOP" using xilinx XST tool under

    xilinx vhdl for loop Well to append to the comment from echo47 "the master" :D , for loops with variable range are not synthesizable. For loops can only be used in a design with constant range of iteration :D
  9. X

    Improving the FPGA design skills

    it looks like we are improving our vocab rather than our verilog :D Anyways, I would love to add a few more word :| Perseverance, determination, grit, insistence, resolution, diligence, resolve, drive, purpose, tenacity, dedication, obstinacy, devotion, tirelessness, endurance, steadfastness...
  10. X

    Problem with modelsim 6.1c (can not open myfile.v)

    Re: problem with modelsim Can you please paste the error that you are getting???
  11. X

    how to design counter usign combinational circuits

    This looks a bit tricky.... we need to store previous values for counter. However this can also be done if combinational loops are used. Muxes are also combinational blocks and using muxes we can design counters. (Muxes having feedback loop). Give it a thought. It should not be that difficult :D
  12. X

    What are the EDA/VLSI jobs available in the US (East Coast)?

    Hey Friends, I sincerely need your advice for my career options. I am from india. I have done Bachelors in Electronics and Communication Engg. and a short term post graduate course in VLSI Design. I have good understanding of Digital Design, VHDL, Verilog and SystemC. I have also worked asFPGA...
  13. X

    Telecomunication circuit in vhdl

    You should be little more specific as to what do you want to implement.... Some of the telecom designs (partially) may be purely digital and you may use VHDL, but majority of them deals with Mixed Signals and VHDL-AMS is the best option to go for. :)
  14. X

    What are the timing parameters related to PLD?

    Re: timing paramter Clk-to Output delay is the sum of Clk-to-Q delay and Q to Output delay.
  15. X

    Help me design a LVDS receiver

    Hi Friends, so much has already been said and discussed about LVDS in this board, I still need your help as I am deeling with LVDS first time and need your help. I have an LVDS out put with one pair of data lines and one pair of clock lines. I have to implement an LVDS receiver in an Spartan3E...

Part and Inventory Search

Back
Top