Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Improving the FPGA design skills

Status
Not open for further replies.

narutomo

Newbie level 6
Joined
Jan 2, 2008
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,368
How can we improve our verilog coding?


I'm consider quit experienced Verilog Developer. In fact, what is the good ways to bring me further in coding?
 

Probably you don't want to hear this, but there is only one way to improve your Verilog skills: practice, practice, practice.
Basic knowledge comes from courses and a book can help.
 

u can have manual from the companies to increase ur design skills

Added after 1 minutes:

fpga manual are given the library
 

Thanks For Professional Advice:)
 

lucbra said:
Probably you don't want to hear this, but there is only one way to improve your Verilog skills: practice, practice, practice.
Basic knowledge comes from courses and a book can help.

Your last post sounded a little funny :D

I would call it like this

practice, practice, practice

ep20k
 

I agree, it sounded a bit silly.

It was actually my intention to say: a good book can help in studying the basics of any HDL-language. Usually, there are a lot of small examples that you can analyse in structure and implementation results.

Courses from Doulos, etc. have the advantage that you get professional advise.

At the end you are on your own in the mad (but exciting) world of programmable logic. And then it comes to practice.

Hope this explains the very synthezised phrase "Basic knowledge comes from courses and a book can help"

Regards,

L.
 

ep20k said:
lucbra said:
Probably you don't want to hear this, but there is only one way to improve your Verilog skills: practice, practice, practice.
Basic knowledge comes from courses and a book can help.

Your last post sounded a little funny :D

I would call it like this

practice, practice, practice

ep20k

Yes, but he forgot the other three essential rules while working:
patience, patience, patience
 

1. a good book really helps a lot.
2. a complete system, or a big example design will enhance it. you may try to analyze a big example ,such as design from opencores.
 

@ master_picengineer

LOL:D

Maybe we could summarize in the 3P:

Practice, Patience, Persistence
 

and the 4th rule for someone :

Practice, Patience, Persistence and "Pray"

sometime for me too.
 

it looks like we are improving our vocab rather than our verilog :D
Anyways, I would love to add a few more word :|

Perseverance, determination, grit, insistence, resolution, diligence, resolve, drive, purpose, tenacity, dedication, obstinacy, devotion, tirelessness, endurance, steadfastness and many more to go.. he he he he

well jokes apart, I found Sameer Palnitkar'sbook pretty good to start with and then go on to ......as people said earlier :D

Code:
module practice();
  initial begin
   $display (" Practice");
   $display (" Practice");
   $display (" Practice");
   #10  $finish;
  end
 endmodule

Cheers :D
 

lucbra said:
I agree, it sounded a bit silly.

It was actually my intention to say: a good book can help in studying the basics of any HDL-language. Usually, there are a lot of small examples that you can analyse in structure and implementation results.

Courses from Doulos, etc. have the advantage that you get professional advise.

At the end you are on your own in the mad (but exciting) world of programmable logic. And then it comes to practice.

Hope this explains the very synthezised phrase "Basic knowledge comes from courses and a book can help"

Regards,

L.
"Doulos"? What do you mean? What is it?
 

the verilog coding style provided by motorola or Actel could give you some help..
 

Is it possible that some one have any documents can post here? Thanks alot :)
 

[quote="xstal"
Code:
module practice();
//  initial begin
  alwaysl begin
   $display (" Practice");
   $display (" Practice");
   $display (" Practice");
   //#10  $finish;
  end
 endmodule

Cheers :D[/quote]
A small correction!!!!!!!!!!!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top