Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by xaxtel

  1. X

    Can Cadence Nanoroute forbid bends on one routing layer?

    they should be option to set metal orientation. or try to find option that enable metal route jog.
  2. X

    Problem in importing verilog netlist through verilogIn in virtuoso

    seems like u r missing some symbol for those schematic.
  3. X

    Info: hot n Well problem with AMS 0.35um process

    maybe the hot nwell need to coincide with Nwell.
  4. X

    Calibre LVS and extraction

    you have to see in LVS deck which layer are used to represent pin. It could be tt or lvs or pin.
  5. X

    Layer used to isolate substrates in UMC 130nm process

    my wild guess would be psub2....this is a CAD layer. if you need a real isolated psub, you need to have deep nwell.
  6. X

    Guard rings around individual transistors or whole circuit?

    Re: Guardrings it depends on the space available to you. generally, the more guardring you have, the better it is shielded from noise. if matching is critical, place a guarding for each transistor so that the environment is the same for all
  7. X

    DRC error with Calibre (IBM-SOI 45nm toolkit)

    u probably can ignore this error in the subcell. it seems like you have a layout that are smaller than 100X100. the checking is based on 100x100. solve this problem only when u have a layout that are bigger than 100x100
  8. X

    Common practices of a good analog and mixed Layout Designer.

    few words that u always need to use : EM requirement, high current branch, matching, parasitic capacitance
  9. X

    Layout - interdigitization and common centriod

    from my past experience, to match devices (e.g in OPAMP input), i will use common centroid. inter-digitization is more to resistor placement.
  10. X

    Rules for contact redundancy when drawing the layout using CMOS process

    contact redundancy first, you need to meet the EM requirement. you need to calculate the total current going into that particular gate. then look at design rule document to find the current density of contact. If min 4 (let's say) are needed, you need 4. more = better. if only need one, it is...
  11. X

    Diodes N+: why this N+ diodes is placer inside a P+ ring

    it is to solve nwell antenna effect. by placing the ndiode, it provide a path for discharge
  12. X

    What would happen if we do not provide proper endcap ?

    Re: Poly endcap ? if no endcap, the real channel W will become smaller, cause device mismatch
  13. X

    calibre error another cell record encountered for cell

    Re: calibre LVS errors looks like u r missing some CAD identification layer in resistor causing it fail to be recognized properly. You can look at the lvs.rule to see the layer needed to draw that particular resistor
  14. X

    skill code to get contacts

    there isn't any function that will create via base on overlapped section in skill code. u probably have to find the intersection by AND'ing the layer and calculate the bbox and then drop the via using dbcreateRect.

Part and Inventory Search

Back
Top