Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
i m using lfsr to generate a number from 145 to 786 howwver the same 3 numbers are appering can you please help me here under is my code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.numeric_std.all;
entity random is
port (
clkin : in std_logic;
random_num : out integer...
im trying to display a whole screen red however its not being possible im using 640 by 480 screen and im timing on25 mhz clock and refresh at 60 hz here unde ris my code can some one help me find the mistake
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use...
this error is occured when im trying to translate this code the error is the following
Started : "Translate".
Running ngdbuild...
Command Line: ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc testingmon.ucf -p xc6slx16-csg324-3 testingmon.ngc testingmon.ngd
Process "Translate" failed
and...
im trying to display a red box on a 640 by 480 screen however nothing is
displayed on my vga screen im using nexys 3 and these were the port used
clk v10 ns-n6 vs -p7 red1 -u7 green2 - p8 blue2 -r7 here under is my
code which i made thanks i appriate any help
library IEEE;
use...
hi, i realized what the problem was. however i cant really rearrange it in order to make this work i need to shift the multiplier to the right and the multiplicand to the left on every clock cycle however i cannot make it can you help me to do that pls. i updated my architecture and tb these...
thanks but i having a problem with the counter im assigning the counter to "0000" and add "0001" however the counter is ahving a value of "1000" in the beginning of the program what can be the mistake and i have another question is the syntax correct
variable reg : std_logic_vector(3 downto 0)...
hi im trying to make a mmultilier of 4 bit by 4 bit here under is my code however i have some problems as its not working. it diviide the program in two clock cycles by the state however the program is not changing any type of help is reallyy appreciated thanks
library IEEE;
use...
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.