Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

an error in translate when using xilinx

Status
Not open for further replies.

vhdl34

Newbie level 6
Joined
Nov 13, 2012
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,349
this error is occured when im trying to translate this code the error is the following


Code:
Started : "Translate".
Running ngdbuild...
Command Line: ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc testingmon.ucf -p xc6slx16-csg324-3 testingmon.ngc testingmon.ngd

Process "Translate" failed

and this the html im coding
Code:
library IEEE; 
use IEEE.std_logic_1164.all; 
use IEEE.std_logic_arith.all; 
use IEEE.std_logic_misc.all; 
use IEEE.std_logic_unsigned.all; 

entity testingmon is
  port(clk :in std_logic;
      hs : out std_logic;
      vs : out std_logic;
      red1 : out std_logic;
      green2 : out std_logic;
     reset :in std_logic;
blue2: out std_logic
     
      );
            end testingmon;

Architecture vga_arch of testingmon is
  signal clkout : std_logic;
 begin
   
process (clk,reset)
variable counter : integer :=1 ;
variable clktemp : std_logic:= '0';

begin
	if(clk'event and clk ='1') then
    if(counter /= 2) then
		   counter := counter + 1;
		   clkout <= clktemp;
		
		elsif(counter =2) then
		 if(clktemp = '0')then
			clktemp :='1';
			counter := 1 ;
			elsif(clktemp = '1') then
				clktemp := '0';
				counter := 1;
		end if;
		end if;
		end if;
  end process; 
  
  
    process(clkout,reset)
      variable count: integer := 0;
      variable vert : std_logic_vector (8 downto 0) := "000000000";
      variable horiz :std_logic_vector (9 downto 0) := "0000000000";
      
      begin
		if(reset = '1') then
		 vert := "000000000";
		 horiz := "0000000000";
		 hs <= '0';
		 vs <='0';
		 blue2 <='0';
		 green2 <='0';
		 red1 <='0';
		 
        elsif(clkout'event and clkout ='1') then
        
        if(vert = "111011111") then
        vert := "000000000" ;
      end if;
          count := count +1;
        if(  count <= 96)then
          if(count = 45) then
           vert := vert + "000000001";
          end if;
          red1 <= '0';
          green2<= '0';
          blue2<= '0';
          hs <= '0';
          vs <= '1' ;
     
    elsif(count>=97 and count <=144)then
          hs <= '1';
          vs<='0';
          red1 <= '0';
          green2<= '0';
          blue2<= '0';
        
     elsif(count >= 145 and count<=784) then
          horiz := horiz + "0000000001";
          hs <='1';
          vs <='0';
          red1 <= '1';
          green2<= '1';
          blue2<= '1';
     
      elsif(count >=785 and count <= 800) then 
       horiz := "0000000000";
          hs <='1';
          vs <='0';
          red1 <= '0';
          green2<= '0';
          blue2<= '0';
        end if;
    
      if(count = 800) then
       count := 0;
     end if;
        end if;
        end process;
end vga_arch;
 

If you are having problems in ngdbuild it is not the code, since its already been synthesized by xst.

Are you running from a command window or within ISE? -intstyle ise suggests within ISE, which I think won't send everything to a command window if run from the command line with that option.
 

can you please explain what i need to do as i m stuck here :( i really appriciate if you help me thanks
 

you still haven't replied with more information, can't help if I don't know how you're running ngdbuild.

Are you running ngdbuild from a command line or through the ISE gui?
a. command line: remove the -intstyle ise and rerun. then look for warnings and errors.
b. gui: look for the messages tab on the bottom (default layout) and look through the messages for warnings and errors.

I've never seen such a concise ngdbuild error like you posted unless the default reporting was redirected to the wrong location then it only reports it starts and when it ends. Even under severe conditions of a program fault ngdbuild will report something like "found unrecoverable error exiting".

- - - Updated - - -

Just realized you may not even know the name of the report file....

<top_level_name>.bld

should be located in the ISE project directory unless you've changed the defaults.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top