Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by upengan78

  1. U

    A memory error has occurred during cellview import.

    Hi, One user is reporting below issue.(Cent OS) @(#)$CDS: conn2sch version 6.1.4 02/09/2010 21:16 (sjfdl233) $ Thu May 6 14:54:03 2010 WARNING (CONN2SCH-135): Signal 'gnd!' terminates with '!' but is not defined as global in the source cell view. This signal would be marked global by...
  2. U

    IC610 on Mandriva 2007

    Re: qt warning: x error: badwindow This works. Thank you very much for posting it. God bless you.
  3. U

    virtuoso crashing (IC614)

    To answer your question, I downloaded latest Update Packages from Cadence site but using a browser then unzipped them and installed using ISCAPE. This virtuoso worked fine and subversion is > ##virtuoso -W > sub-version IC6.1.4.485 Here is another update, This will sound strange, This...
  4. U

    virtuoso crashing (IC614)

    Oh sorry for the repeat but thank you for searching it for me ! appreciate it :) In my case also, Update version works fine( reinstalled using latest update version) but hotfix version. So, now I am good. EDIT, one more thing I observed while using update version is many libraries I found...
  5. U

    virtuoso crashing (IC614)

    Hi running IC610 (Feb 2010 Hotfix version ) I can run Virtuoso and it opens a GUI but when I click on library manager, I get startdate:Wed Mar 3 16:29:50 2010 crashdate:Wed Mar 3 16:30:45 2010 appname:virtuoso version:@(#)$CDS: virtuoso version 6.1.4 02/17/2010 09:05 (sjfdl231) $...
  6. U

    IC610 install quick question

    Thanks again..earlier I thought having only /cadence/ic610/tools/lib and other system libs in path would do it..but it seems we need other tools installed so that we can use their libraries...
  7. U

    IC610 install quick question

    Thanks much, appreciate it. EDIT, Any idea why I get this, /cadence/ic610/tools/dfII/bin/32bit/virtuoso: error while loading shared libraries: libhdb_sh.so: cannot open shared object file: No such file or directory I checked and this library does not exist anywhere in /cadence/ic610 but I...
  8. U

    IC610 install quick question

    Hi, I am trying to install IC610 - for linux. (Redhat5) I used iscape and chose IC610, then chose latest HOTFIX release(6.1.4) from 3 options (Base, update, Hotfix). I thought it will install base and updates and later hotfix? But, later when installation was done, I could not find icfb or...
  9. U

    which items should I exactly download? (Cadence)

    Thanks much Rookie. Appreciate your help!! Good day.
  10. U

    which items should I exactly download? (Cadence)

    Thank you Rookie. Your reply is very helpful. I am going to install the ones you suggested as it looks correct to me. One question, do you know what is the difference between IUS62 and IUS82, I see both have latest software updates available for them on Cadence site and I think we have license...
  11. U

    which items should I exactly download? (Cadence)

    Hi, An user just requested me to download below items from Cadence site. I have credentials to download but I am wondering what items are these, Custom IC Design Kits (Virtuoso) Functional Verification Kits (NC-verilog, Simvision) Digital Implementation Kits (Encounter) I only find following...
  12. U

    Problem with using the ICFB as the topic pages don't work

    cadence cdsdoc problem centos Thanks for response Rookie, I got cdnshelp in assura612
  13. U

    Problem with using the ICFB as the topic pages don't work

    how to convert cdsdoc to cdnshelp Now I have installed seamonkey on this system and crate a symlink for seamokey as netscape. now when I click on a cdsdoc topic , the browser opens and shows "starting" then another browser opens and opens the page with the topic I clicked on but if I click on...
  14. U

    Problem with using the ICFB as the topic pages don't work

    cdsnameserver + linux Thanks for quick reply rookie, I had already changed the KERNEL line in cdsdoc script to point to the current kernel this OS running on. As far as cdsdoc from console is concerned, I will attach the images here now. those library errors seems to be of opera than...
  15. U

    Problem with using the ICFB as the topic pages don't work

    Hi my first post here. One of the users wants to use help from the icfb(cadence help menu). once the user clicks on any of the topics, the opera browser opens up but it just shows word "starting " and the actual topic page never comes up. What must be wrong ? I have full access to user's...

Part and Inventory Search

Back
Top