Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by unixdaemon

  1. U

    [SOLVED] What are the steps that semiconductor companies perform to design digital ASICs from scratch?

    I am familiar with some steps, but I only worked in EDA software companies, and not in companies actually developing ASICs. My understanding is that the following steps are involved: 1. Specification is developed and approved 2. Verilog is written 3. Verilog is simulated (ex. Verilator) 4. The...
  2. U

    Are there sensor components that can determine the distance to other objects in their immediate vicinity?

    I am wondering if there are sensors that can measure distance to other objects up to 2-3 inches out? For example, the sensor might use reflected light, or radio signal that is reflected by some other nearby part to determine distance between them.
  3. U

    How can I understand how is GDS connected to Verilog?

    "and21" isn't a valid cell name. I think that the problem is that fusion compiler creates the GDSII file with only wires, and no transistors. The last instruction before "write_gds" is "route_auto". I think that FC functions fine, it places all wires. But transistors are added by some other...
  4. U

    How can I understand how is GDS connected to Verilog?

    > looks like the the gds is generated incorrectly, the cells are not present. only the wires Yes, I agree. How can I understand why cells are not present? I only defined one cell. Other cells must be standard cells. What steps can I take to understand why the produced GDS file is invalid?
  5. U

    How can Synopsys VC Formal solve Sudoku puzzles?

    Synopsys and Intel posted the challenge to solve Sudoku puzzles using the VC Formal software. They say that at Intel they use Sudoku puzzles to train formal verification engineers. I am a little familiar with VC Formal: it can analyze Verilog code and find issues like arithmetic overflows...
  6. U

    How can I understand how is GDS connected to Verilog?

    I've ran the Fusion Compiler on a simple module: module top(a1, a2, z); input [0:0] a1; input [0:0] a2; output [0:0] z; assign z = a1 | a2; endmodule Fusion Compiler generated the GDS file that KLayout shows like this: I have trouble relating the original...
  7. U

    When the ASIC is finished, is GDS sent to the fab, or is the semiconductor company also doing further steps?

    I am curious: is GDS sent to the fab and the fab does further processing like OPC, or further steps are also a responsibility of the fabless semiconductor company?
  8. U

    How to write a complete verilog including library cells content using Synopsys Fusion Compiler?

    I have the x.nlib library directory with many HDL libraries linked there. I can run the commands: 1. open_lib x.nlib 2. read_verilog my.v 3. write_verilog -include all -hierarchy all x.v Library cells used in my.v that are present in HDL libraries do not appear in x.v, despite the "-include...
  9. U

    Concise tutorial about how to run Synopsys tools from RTL to GDS?

    @dpaul I have the SolvNet login, but FC RM breaks, see my last message above.
  10. U

    Concise tutorial about how to run Synopsys tools from RTL to GDS?

    @oratie I tried to run FC-RM_U-2022.12 but it fails to run simple Verilog modules. It fails with errors like: Do you know what is the minimal tcl script that can compile a simple Verilog module to GDSII?
  11. U

    Why are Synopsys Fusion Compiler reference methodology scripts fail on a single module verilog: Design has no site rows or site arrays.

    I am using a simple single module verilog with Synopsys FC-RM_U-2022.12 scripts. The init_design stage fails: Earlier in the log the 'Basic floorplan and design checks' failed: What are "site rows" and "site arrays"? Why do RM scripts expect them? What are "signal terminals", "tracks" and...
  12. U

    [SOLVED] How to solve the error in the Synopsys installer: Missing required common package

    While installing the Synopsys Fusion Compiler this error message pops up: Can't install U-2022.12-SP1 release of fusioncompiler: Missing required common package Unfortunately it doesn't say what package is missing.
  13. U

    Concise tutorial about how to run Synopsys tools from RTL to GDS?

    There are several reference methodology downloads on solvnet that have the word 'compiler' in them: Design Compiler, Fusion Compiler, IC Compiler, IC Compiler II. Are all of them able to compile from RTL to GDS? What is the difference between them?
  14. U

    Concise tutorial about how to run Synopsys tools from RTL to GDS?

    I am mostly a software person, but I can program in Verilog and I understand the ASIC design workflow in general. Through my job I have access to all Synopsys tool licenses. Is there a concise tutorial that describes step by step how to run Synopsys tools to build GDS from Verilog...

Part and Inventory Search

Back
Top