Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Sputnik12

  1. S

    Nexys 4 DDR External Hard Drive through USB port

    Hello, I have run into a placer error when running block memory generator IPs in verilog, as I have too many ROM blocks for the nexys 4 DDR board. I would like to keep all my ROMs and therefore, was wondering if there are any tutorials anyone could guide me to for this? I have not been able to...
  2. S

    Nexys 4 DRR Collision Detection for Labyrinth Game

    I understand, so the code should be always@(posedge clk) begin if(clk_div == 833334) begin clk_div <= 20'd0; game_clk <= !game_clk; game_clk_enable <= 1; end else begin clk_div <= clk_div + 1...
  3. S

    Nexys 4 DRR Collision Detection for Labyrinth Game

    So the code I have written makes sense?
  4. S

    Nexys 4 DRR Collision Detection for Labyrinth Game

    Hello @ads-ee, thank you for your response. So currently game clock is made like this: always@(posedge clk) begin if(clk_div == 100000000/120) begin clk_div <= 20'd0; game_clk <= !game_clk; end else begin clk_div <=...
  5. S

    Nexys 4 DRR Collision Detection for Labyrinth Game

    I have posted the code I am running for the nexys board in my above post, sorry for all these replies, I am still new to the site.
  6. S

    Nexys 4 DRR Collision Detection for Labyrinth Game

    I think I have narrowed the issue down now, but I still don't know how to fix it. Bascially, this code for the if statements is being updated at the positive edge of the pixel clock which is 106.47 MHz for me right now. So the currentX and currentY registers are updated using pixclk. However...
  7. S

    Nexys 4 DRR Collision Detection for Labyrinth Game

    @BradtheRad I am not sure what you mean by the hidden surface removal?? Is this a verilog function that I don’t know about?
  8. S

    Nexys 4 DRR Collision Detection for Labyrinth Game

    I am very new to FPGA programming in vivado (Verilog), and I am trying to make a simple game. The game has a player traverse through a labyrinth and therefore when the player collides with the walls in the labyrinth the player must stop. I tried the brute force method of hard coding every single...

Part and Inventory Search

Back
Top