Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by meir

  1. M

    modelsim log appending #

    modelsim is creating log file with '#' at the beginning of every line is there a way to prevent this ?
  2. M

    System verilog `include problem in vcs

    you probably mean: +incdir+../lib/ incdir points to the directory where vcs will search for included files
  3. M

    VCS mixed hdl sim.. having trouble compiling

    you need to first compile the verilog file with : vlogan verilog_tb.v then elaboration: vcs tb (the library not file name) simulation: ./simv check out the vcs_mx users guide
  4. M

    Antecedent of the implication never satisfied

    is there a flag (or any other way) to switch off the above message ? (using VCS)
  5. M

    loading SDF during simulation

    is it possible to load the SDF file during simulation ? for example in the testbench initial begin wait(top.i_dut.i_module.signal === 1'b1) $sdf_annotat(...); end
  6. M

    VHDL + SV in UVM in VCS

    3 stages, see vcsmx_ug.pdf for more details compile: vhdlan <vhdl design files> vlogan <verilog design files> vlogan -ntb_opts uvm (no source files) vlogan -ntb_opts uvm <sv uvm files> elaborate: vcs <top_module> run: ./simv
  7. M

    How to compile and simulate DPI C file with Questasim?

    change import "DPI-C" string_sv2c=task string_sv2c(); to import "DPI-C" string_sv2c=function void string_sv2c(); (you don't have to rename the function and I think it's better to move the import declaration out of the program) for files string_sv2c.sv & string_sv2c.c run : vlib...
  8. M

    How to compile and simulate DPI C file with Questasim?

    You need to import the function in your sv code: import "DPI" function int get_pid(); import "DPI" function int get_vmem( output string output_str_ptr ); Questasim will compile c files with vlog so you need to just add the files in your "do" file
  9. M

    need free tool for simulating system verilog testbenches

    no such thing (although I think icarus does support some design sv constructs)
  10. M

    substitution in c-shell script

    This perl one liner will do it perl -pi -e 's/x/$./g' <file_name>
  11. M

    [SOLVED] Problems with writing UVM test for GPIO UVC

    I am not familiar with the GPIO UVC but it seems that the test shuts down after zero time. The first thing to check is do you have a sequence, have you connected it to the GPIO sequencer and does the sequence raise an objection until it finishes the body
  12. M

    [Perl] Problem in string comparison

    to escape meta characters: if($file[$i] =~ m/\Q$pattern\E/)
  13. M

    clocking block in test bench

    clocking block is useful for verification environments where you have one task driving the signals and another sampling them. If a clocking block isn't used and the signals are driven and sampled on the same clk edge the signals could be sampled before they are driven (depends on how the...
  14. M

    OVM and UVM runs on vcs

    for uvm you have to add the option +ntb_opts uvm so the comand will look something like : vcs -sverilog +ntb_opts uvm <your files> in 1 of your files you also have to add : import uvm_pkg::*; `include "uvm_macros.svh"
  15. M

    [SOLVED] Questa - How to configure Questa default directory ?

    O.K the solution is "windows". right click on Desktop shortcut -> properties -> start in:

Part and Inventory Search

Back
Top