Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by lzh08

  1. L

    I need some book about PCI

    who can give me an advice
  2. L

    how to use fpga to implement dualport ram with sram ?

    how to use fpga to implement dualport ram with sram ?
  3. L

    What is the best VHDL book?

    vhdl manual VHDL Programming by Example
  4. L

    Help me to fix my counter code (Modelsim simulation)

    the following is source code.I think the count should be 8,16......at the St8_1,but the simulation result is 16,32......,why? (use modelsim simulation) library ieee; use IEEE.Std_Logic_1164.all; use IEEE.Std_Logic_Unsigned.all; use IEEE.Std_Logic_Arith.all; entity teststate is port (...
  5. L

    Why currently Verilog is hotter language than VHDL ?

    verilog vs vhdl master one,and learn others,ok!
  6. L

    dynamic VHDL PCI-core testbench....or anything...

    pci controller vhdl download you could look for it at www.opencores.org
  7. L

    Bus for connecting ARM + DSP

    yes,arm9 include dsp core,you could select one
  8. L

    when I am going to learn dsp,which knowledge should i have ?

    someone told me that you must master math,really?
  9. L

    how to learn dsp?TI or ADI?

    how to learn dsp i was a beginner,but i don't know how to select the cpu,TI or ADI
  10. L

    who have the software:linuxview?

    who have this software?give me a link.thx
  11. L

    which is the best op amp book?

    op-amps and linear integrated circuits which is the best op amp book?
  12. L

    how to build two dual port ram ?

    how to build two dual port ram using the M4K? i want to use the M4K to build two dual port ram,each dual port ram include 64k bit,total is 128k bit. the following is the report after compile. Total Memory bits:65536/239216. why?i think the result should be 131072/239216. how to build two dual...

Part and Inventory Search

Back
Top