Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
Re: Anyone know how to take DVI/HDMI and get parallel RGB va
this is called input processor or receiver. u can check with silicon image or ADI, as I remember, SiL9025, 9125, 9135 can do this work
vhdl calculation of percentage
you can find implementations for fixed point divisions on-line, on FPGA they provided division IP cores;
but they can only return quotient and remainder, but not 0.5 as u want;
so my suggest would be either do a1 = (total expected pulses/255), then (no. of pulses...
I guess you are asking how to start the "oscillation" in Cadence, then this link can be help:
**broken link removed** page down and you will see the initial setting
also, the gain is >1, and phase shift should be (2N+1)Pi instead of 2Pi
vhdl index
pieces from one code:
...
use ieee.std_logic_arith.all;
....
Write_Addr: in std_logic_vector(addr-1 downto 0);
....
type ram_type is array (0 to depth-1) of
std_logic_vector(width-1 downto 0);
signal tmp_ram: ram_type;
....
tmp_ram(conv_integer(Write_Addr)) <= Data_in;
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.