Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Kukaz

  1. K

    [SOLVED] help on 4-bit up counter using hierarchical design

    entity counter is port(count:out std_logic_vector(3 downto 0); clk:in std_logic; reset:in std_logic); end counter; architecture behav_counter of cointer is component fulladdar port ( a: in std_logic; b: in std_logic; c_in: std_logic; sum: out std_logic; c_out: out std_logic); end component...
  2. K

    [SOLVED] help on 4-bit up counter using hierarchical design

    Why do you so hard? It is counter description: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter is port(count:out std_logic_vector(3 downto 0); clk:in std_logic; reset:in std_logic); end counter; architecture behav_counter of counter is SIGNAL...
  3. K

    Bypass capacitor package question

    For this brand of ceramics (X7R) is practically no dependence of characteristics of thecapacitor's size ! Just an example of PCB is given for this size.
  4. K

    Novatek nt7534-cog pin description

    Hi, seeking information (pin description) on LCD 49-pin connector. It is used in Smart Tweezers.
  5. K

    Looking for documentation for TVM502T DVD drive

    Hi, Any documentation on TVM502T is necessary - part list, schematic, mechanic diagram, service manual, datasheet on IC and e.t. The drive is used in THOMSON DVD430T. Symptoms - the disk is not defined ("No Disk"), the spindle does not rotate. Thanks. Emailto: kuka_2000@hotbox.ru
  6. K

    hexadecimal or decimal??????

    Hi, I use mixed radix in my programm: for hardware control - hex; for loops, counters .. - decimal Anyhow :D
  7. K

    Problems with AT89C5132 USB/Serial Communication!

    See AT89C5132 datasheet, page 18 (figure 12), 43 & 44 Best regards !
  8. K

    Problems with AT89C5132 USB/Serial Communication!

    High level on RESET pin (VCC) set and HOLD controller to initial (reset) state. Bootloader do not work !
  9. K

    Problems with AT89C5132 USB/Serial Communication!

    Xm, disconnect RESET pin from VCC !
  10. K

    problems with UART interrupt

    void uart_interrupt (void) small interrupt 4 using 0 /****** You define USING 0 -> it is BANK 0 *******/ /* ------------------------------------------------------------------------ -- * Purpose : Interrupt function. Save received char in buffer. * Remarks : interrupt "4": seriel port...
  11. K

    Asynchronous Flip Flop Design?

    VHDL for ENTITY flip_flop IS PORT( set : IN STD_LOGIC; reset : IN STD_LOGIC; data : IN STD_LOGIC; clock : IN STD_LOGIC; q : OUT STD_LOGIC; nq : OUT STD_LOGIC } END flip_flop; ARCHITECTURE beh OF flip_flop IS SIGNAL qq : STD_LOGIC; BEGIN nq <= NOT qq; q <= qq; PROCESS(reset, set,data,clock)...
  12. K

    How to write VHDL code for 2 inputs A and B and 1 output Q(14:0)?

    Re: how to do in vhdl OR SO library ieee; use ieee.std_logic_1164.all; entity my_logic is port ( A : in std_logic_vector(14 downto 0); B : in std_logic_vector(14 downto 0); Q : out std_logic_vector(14 downto 0)); end my_logic; architecture behave of my_logic is...
  13. K

    what's error in this vhdl code&#65311;

    package body fdiv_even IS SIGNAL Clk:std_logic; -- SIGNAL declaration can't be here function div_even(ClkIn : in std_logic) return std_logic is -- VARIABLE declaration HERE -- and RETURN variable, for example, ClkOut ! BEGIN PROCESS(ClkIn) -- ONLY Sequential Statements... :-((...
  14. K

    VHDL Type Conversion : from SIGNED to STD_LOGIC_VECTOR

    vhdl unsigned to std_logic_vector No problem :-)) LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY test1 IS PORT( a : IN signed (7 downto 0); b : OUT std_logic_vector (7 downto 0) ); END test1; ARCHITECTURE struct OF test1...
  15. K

    VHDL Type Conversion : from SIGNED to STD_LOGIC_VECTOR

    vhdl signed to std_logic_vector Try it !!! LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY test IS PORT( a : OUT signed (7 downto 0); b : IN std_logic_vector (7 downto 0) ); END test ; ARCHITECTURE struct OF...

Part and Inventory Search

Back
Top