Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jay_ec_engg

  1. J

    Suggest me some material/white paper for RAM testing in ASIC

    ASIC RAM testing Hi, Can anyone suggest me some material/white paper for RAM testing in ASIC ? Thanks Jay
  2. J

    Need coding to display something on LED using CPLD

    Re: LED using CPLD It depends how ur lines are connected to ur LED pins ??
  3. J

    Where to get a free Xilinx ISE software?

    Re: Xilinx ISe Check with your local Xilinx vendor. he can get a ISE CD for you for latest version. You can get Modelsim also with that.
  4. J

    another interview questions...........

    assing some tepm register to store the previous data and compare it with new data as soon as u get the new data.... after comparing, assign new data as temp data for next sample.
  5. J

    Materials about VHDL testbench

    Re: vhdl testbench First advice is use latest version of ispLEVER.. If I am not wrong its 5.0... older versions are not very efficient and there are few bugs....Precision is new in market but its good tool. Are you licence version of ispLever?? I think ispLever has GUI based simulations where...
  6. J

    Altium LiveDesign Evaluation Board: using FPGAs CLK

    Re: FPGA and CLK No big deal...!!! you can use it if its connected to FPGA pin....if its not connected to global clock pin then the clock performacne (max frequency ans swek) may not be as ggod as GCLK pin. Alternative is use buffered clock and use it for all modules..
  7. J

    problem with describing a 4bit ripple counter using verilog

    4 bit asynchronous counter verilog code here it worked without any change because outputs will be registered and instance name will be taken by the tool automatically........ But obviously its not a good design practise......
  8. J

    How to add pull-up /pull-down resistor for FPGA's IO ports

    affecting weak pull down in ise if ur not using GUI Constraint editor then assign PULLUP or PULLDOWN in the .ucf file (constraint file) where u are assigning your signal to the pin.
  9. J

    How to do reverse 1-hot encoding in VHDL?

    Re: Reverse 1-hot encoding? What is an advantage of reverse 1 hot encoding....??
  10. J

    Question about Xlinx Timing Constrain

    If not clock then atleast there will be one common signal connected to both the blocks....and the STA tool will show that path... you may see that in the unconstraint path report...
  11. J

    functional simulation does not work

    what is "Enable SignalTap logic analyzer"?
  12. J

    Is there any way product a rest by FPGA itself?

    But its advisable to connect external reset to the device if in case u want to put the device in to predefined state...... Is it OK if we float the reset pin of the FPGA ?
  13. J

    Automatic Traffic Light System

    automatic traffic light you shoul dhave sensors to detect traffics...?? what kind of design ur lookin for ?? controller based or FPGA/PLD based ? Do u have analog circuitry ready ?
  14. J

    About sequentiality(?) of processes

    it is based on which signals ur declaring in the process ( ) statement also... if ur logic is CLOCKed then u need to include only clock signal in the process list and the hardware will eb different...
  15. J

    How to program an old LSI5256VE?

    Re: Lattice plsi5256 u can use ispVM software and ispVM parallel port cable to program it. You need to have JTAG connector on ur boad. Else you can use JTAG tool itslef to program he PLD. You can use .SVF (serial Vector Format) file to load it.

Part and Inventory Search

Back
Top