Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by j_andr

  1. J

    vector waveform for AND gate

    - check if the file you think is the sim input is the same as in Settings->Simulation Settings-> Simulation input - change 'X' in the inputs to '1' or '0' in the input vwf file - cyclone3 is not very fast device, make input levels stable longer than 10ns j.
  2. J

    [SOLVED] Unknown type Xilinx error

    you have: always @(/.../) if else if should be [depends on your intention] always @(/.../) begin if else if end // --- or always @(/.../) if else if else j.
  3. J

    Ping pong effect in RTL compilation

    the thread is about to be closed; just few last notes; sounds slightly beter then: and much better then: I was not going to argue what solution is the best; there is no 'best' solution in all cases; I was just 'triggered' by the statement: Delaying 'wait' by clocking it will result in a...
  4. J

    Ping pong effect in RTL compilation

    always @(posedge av_clk) if ( !(av_read || av_write) ) av_wait <= 1'b1; else if (write_done || read_done ) av_wait <= 1'b0; I don't think an async. control of 'av_wait' will work faster then the example above; j.a
  5. J

    Ping pong effect in RTL compilation

    an Avalon slave can keep wait high 'by default' and drop wait low when read data ready / write data written; so wait can be registered :) -------------- btw - the only 'ping-pong' term I've heard in fpga field is 'ping-pong buffers' j.a
  6. J

    Determine the successive bits in a std_logic_vector

    I hope the example below is funny enough to be posted here module bigguy #( parameter IN_SIZE = 84, BIG_GUY_SIZE = 5 ) ( input [IN_SIZE-1:0] size, output big_guy ); localparam AND_GATE_NR = IN_SIZE - (BIG_GUY_SIZE - 1); reg [AND_GATE_NR-1:0] and_gate; integer...
  7. J

    [SOLVED] Verilog clock divider 50 MHz to 1 MHz

    if you use quartus simulator there is on way to get 'X' as you simulate netlist; you can see 'X' in simulation only if you forget to add all input signals to the waweform; looks like you haven't set: settings->simulator settings->simulator output files: "overwrite simulation input file with...
  8. J

    how to design a circuit which generate opt which is high for 2 clk cycles and low for

    barrel register means flip flops connected serially, the last connected to the first, bro; j.a
  9. J

    how to design a circuit which generate opt which is high for 2 clk cycles and low for

    create a 3-bit barrel-register, initialize it to any of value: 110, 101, 011, use any bit as your clock; j.a
  10. J

    FSM in Verilog problem: why leds don't light up?

    at first change output leds to output reg [7:0] leds and comment out 'reg [7:0] leds'; then read carefully compilation warnings; check what leds[7:0] signal level, 'LOW' or "HIGH', switch your board LEDs 'ON'; j.a
  11. J

    input delay in testbench

    not exactly, as Tricky said - synchronize the reset and use it as async. input to registers; the advantage of such approach is that the async. reset is an independent input to FPGA cell so doesn't consume data inputs; j.a
  12. J

    parametrized OR-gate

    misuse of for loop is not recomended, the loop is quite good for an array initialization; j.a
  13. J

    Modulus Module Needs Assistance

    mod = a; syntax error, you can assign mod = a; or 1.always @(posedge clk) mod <= a; 2.always @(*) mod <= a; but in these cases 'mod' has to be declared as reg while (mod) begin while can be used in always block but in general - the code will not work, fpga is not a processor which...
  14. J

    Read and writes at the same memory location

    such example is compiled by quartus without warnings and [quartus] netlist simulation shows possibility of writing on rising edge and then reading the same location on falling clock edge; module dual_edge_ram ( input clk, wr, input [7:0] addr_in, addr_out, input [7:0]...
  15. J

    [SOLVED] mux problem-unexpected undifined state

    forgot " 2'b " in case statement; j.a

Part and Inventory Search

Back
Top