Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by diju.ms

  1. D

    Xilinx-modelsim integration problem

    i am not able to solve this problem..i tried all options in the simulator options.one of my friend is using the same softwares and same versions...he is not having this problem...can anyone please help me??? - - - Updated - - - i am not able to solve this problem..i tried all options in the...
  2. D

    Xilinx-modelsim integration problem

    haai i am using xilinx 9.2 for VHDL/Verilog coding.My simulation tool is Modelsim 10.0.I have set the integrated tools option in xilinx as modelsim.For VHDL it is working perfectly.but when i am simulating Verilog model sim shows the error every time.I am not able to understand what it is...can...
  3. D

    [SOLVED] 2 iif-endifs inside a process

    i am a beginner in this forum...so please forgive my mistakes....... initially i declared SIGNAL I:INTEGER;so it was showing the error....after that i converted it into SIGNAL I:INTEGER range 0 to 127...then that error is gone...but now my problem is something else..if i am giving my first...
  4. D

    [SOLVED] 2 iif-endifs inside a process

    sorry about that...i am attaching a code with comments below...if i am executing it creates a logical error...it automatically writes data into my 0th location..even if i am giving "0000011" as the address then it writes data in both "0000000" and "0000011" locations....i am not able to find the...
  5. D

    [SOLVED] 2 iif-endifs inside a process

    thank you for your help... i got another problem when using case....the program works perfectly in -ve edge (IF(CLK'EVENT AND CLK='0')THEN) ..if i am changing that into +ve edge (IF(CLK'EVENT AND CLK='1')THEN) then the program behaves like fatal error.an error message is also produced...i am...
  6. D

    [SOLVED] 2 iif-endifs inside a process

    hai have edited my post..please help me
  7. D

    [SOLVED] 2 iif-endifs inside a process

    what will happen if i am using two if-end if blocks inside a process in vhdl??it will be executed sequntially or parallelly?? ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use...
  8. D

    Booth multiplier code not working

    hai i was writing one code for booth multiplier in vhdl.i am attaching the code below.logically it is correct but I am not getting the output..can anybody help me --------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use...

Part and Inventory Search

Back
Top