Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Damomeera

  1. D

    [moved] Create Scematic greyed out in Degin Vision

    Hi All, I have written behavioral coding for ALU. While creating schematic in design vision, the icon gets greyed out after compiling my design. Please help me with this problem. `timescale 1ns / 1ps //////////////////////////////////////////////////////////// module aluuu( a, b...
  2. D

    Knew verilog, vhdl digital design basic.

    What kind of School ? If You're from India, could You suggest me some good schools in India ? Thank You
  3. D

    Knew verilog, vhdl digital design basic.

    What's next think i need to learn to become complex real time chip designer ?
  4. D

    Studying in-depth application design using verilog

    What's the need of scripting in Verilog design ?
  5. D

    Problem with inout in verilog for my program

    There is no problem in reading the inout port. I didn't get new values according to the assign statements :) - - - Updated - - - This is the output i got. pls help me sir. - - - Updated - - - Thanks :-) - - - Updated - - - module TOP; wire [7:0] m_o; wire [7:0] a_io; reg [7:0] a_i...
  6. D

    USing System interrupt in C (Timers)

    Hi friends, Everyone heard about timer interrupts. I want to use the system timer interrupt ( 44100 ticks per second ) in Turbo C for playing .wav files. Pls anyone has any idea about that. Pls help me. Or we can discuss here. Thanks folks.
  7. D

    Studying in-depth application design using verilog

    In verilog we can design and process digital value. What about analog values as in VGA gaming ? Can we use verilog ? or suggest me something ! Thanks :)
  8. D

    Problem with inout in verilog for my program

    module REG_BANK(M_O,A_IO,SEL,A_EN,M_EN,RW,CLOCK ); output [7:0] M_O; inout [7:0] A_IO; input [2:0] SEL; input A_EN, M_EN, RW, CLOCK; reg [7:0] M_O, A_I; reg [7:0] memblk [0:7]; assign A_IO = ( A_EN == 1'b1 && RW == 1'b0 )? A_I : A_IO; // NOT WORKING NOT UPDATING THE...
  9. D

    Studying in-depth application design using verilog

    Hi Everyone, I'm Damodharan. I knew few about Digital designs and verilog. I want to design a real time devices (like microcontrollers, vga game consoles.....) using verilog. I'm also ready to put effort by spending most time in studying this. Pls help me and give some help about where can i...
  10. D

    virtual serial ports

    Hi friends, i want to study about virtual serial ports. i want to know the info about how local serial ports are mapped to virtual serial ports. i want the algorithm so that i can create that driver for arduino. Thank you
  11. D

    Face detection in matlab

    pls any replies, post here too
  12. D

    Problem with encoder ht12e

    My encoder shows 0.25v difference between on and off the switch at d0. that's in off position the output is 2.9 and in switching the d0 the output is 3.15. what to do ? is it right or wrong ? and also what's the purpose of a0, a1, ......a7 in the encoder ?
  13. D

    Sd card complete details and about fat also

    pls help me. i wanna study about sd card. it's internal drivers, firmwares etc.,. and also i want to interface with pic. i want complete details
  14. D

    Audio processing using 8051

    elm-chan.org/works/sd8p/report.html dmitry.co/index.php?p=./04.Thoughts/02.%20Single-chip%20audio%20Player see the sites and tell me Is this possible or not ? pls help me
  15. D

    Mosfet Working explained through animations

    I want to study about mosfet in detail. I want its working which is explained through animated videos pls help

Part and Inventory Search

Back
Top