Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by birdy123

  1. B

    What are inverting and non-inverting gates

    Hi Spoorthi, I am not sure which book you are referring but they are right. :) Basically in VLSI Industry we use another terminology to mention these things - Unateness. Unateness is the property for each Timing Arc. Basically, Timing Arc is a combination of Input and output pin combination...
  2. B

    How a setup and hold time values is decided to a flip flop?

    Re: How a setup and hold time values is decided to a flipflop ? Hi Sarang, I got your question and I really appreciate if someone ask such questions. I can understand that from very first day you can't start using some Flipflop. There is always a way or say process to design a Flipflop known...
  3. B

    Methods to fix timing violations in synthesis stage

    Guys - Can I ask whether it's a Setup Slack or Hold Slack ?
  4. B

    what is technology library

    Okay --- Again Are you talking about the .lef file ? Tech lef files the story is same. I would say that it will be good if you give more detail about when you are saying "Technology Libraries". It's sometime very confusing - Please give us any file name so that we can understadn more clearly...
  5. B

    question regarding timing analysis

    I am not sure when you are saking this question - At what stage are you .. Like Pre-CTS or Post Routing. Solution can be little bit vary in both the case. As such remember - there is no set of rules which you can follow to Fix the Setup violations. Solution depends on the problem and the...
  6. B

    Inductance and parasitic extraction?

    During the Parasitic Extraction, you have to opt for the Inductance extraction also. R u doing that part ? Second thing what type of design you have have.. If it's Digital - I don't think you will get Inductance.
  7. B

    what is technology library

    I am sure you are talking about the .tf files (which are Named as Technology Files). If it's correct - these are basically represent the Technology rules written for the ICC tool. With the help of this - Tool understand different Foundry specific rules , which are part of DRM (Design rule...
  8. B

    Setup time basic understanding

    Hi, I hope below 3 articles can help you. Fix Hold and Setup time 1 **broken link removed** Fix Hold and Setup time 3 Let me know if you need any other details.
  9. B

    How to decide which cell has to be resized to fix setup violation?

    HI, it's hard to tell you like this because we don't know the design and after effect of any change. most of the tool have some commands or in few cases companies have their internal procedure or wrapper to find out the list of such places. basically it's a iterative process, change the cell...
  10. B

    How does the thickness of metal affect interconnect delay ?

    Quick reply - Metal thickness changes R and C. So RC will be changed and that is directly impact Delay of the net. For more please see the following post. Delay of Interconnect Parasitic Interconnect Basics.
  11. B

    SDF file with primetime

    hi, Why can't you try below post.. and other parts of this post SDF PART1
  12. B

    Regarding Technology file and Interconnect Technology file

    In place of nxtgrd .. build tluplus. tluplus is little off in comparison to nxtgrd .. but you can read it and do manual estimation.
  13. B

    Impact of setup and hold requirements with increase in drive strengths of flip flops

    There will be no impact on setup or Hold of F2 just by increasing the driving strength.
  14. B

    Impact of setup and hold requirements with increase in drive strengths of flip flops

    I recommend you to follow this article **broken link removed** and 10 ways to fix setup and hold violation
  15. B

    VLSI Chip designing learn online

    I would say that complete learning can't be possible. But specific topics can be learned online. If you have any experience, in the VLSI design field, then May be any of us can help you to figure out from where you can learn specific topics.

Part and Inventory Search

Back
Top